模擬IC與數字IC設計哪個工資高

2021-03-08 17:15:52 字數 2935 閱讀 7872

1樓:匿名使用者

物以稀為貴,能做的人多了,自然就不值錢了,以前的網頁設計師就是很好的例子,現在是個人都能做個小型**了,自然網頁設計師身價就起不來了。

同樣地道理,數字ic好做,所以做的人就相對於模擬多(雖然不論哪個國內都是缺人的),而且模擬ic做起來的難度和技術含量都要遠高於數字的,因此那種人身價高是顯而易見的。

樓上有人說數字ic應用領域廣這是沒錯,但這只能說明作數字的崗位多但並不能說明作數字的薪酬就高。另外,即使是數字ic內部,收入也是因所作工作的層次而異的。打個比方,基本學過電子的本科生都可以作數字ic的前端設計,寫個verilog或者vhdl的1000-2000行描述不在話下,但即使這樣,他們的薪酬也遠不如高層工程師,因為本科生的能力僅僅侷限於fpga和asic級別的電路設計,而真正可以稱作是ic designer的人,作的都是後端設計,比如full-custom的硬體語言描述之後的部分:

用spice進行物理層的**和版圖設計等等,我們現在所用的記憶體或者cpu這樣的通用ic基本上是本科畢業生甚至是研究生都無從下手的。

話又說回來,模擬ic起步基本上就處於數字ic的後端設計,所以說有實力做的人少之又少(在中國),相信大家都可以判斷出這樣的結果:做模擬ic的工資高(暫不考慮樓上所提到的廠家選擇等機遇問題)

2樓:匿名使用者

待遇方面哪個更好?

取決於不同廠家,市場經濟效益,和老闆的態度,

數字,模擬不是關鍵所在。

3樓:匿名使用者

當然是數字的高了,數字的簡單,但是應用領域廣

4樓:匿名使用者

偶做版圖 模擬數字都做~~~做好的話不管數字還是模擬都有前途~~

有興趣的話可以交流下技術啊~~呵呵

學習模擬/數字積體電路設計,哪個比較有前途?(希望暢所欲言!)

5樓:匿名使用者

簡單來說,數字易上手,尤其是前端,本科生都可以做,這部分工作量大,但是我個人覺得是純苦力;人才需求量也比較大,好找工作,但是待遇一般。

模擬的話,相反,難度大,設計周期長,需要的實踐經驗比較多,因此這方面人才顯然比較稀缺,薪酬也要高得多。但是,由於對工程師要求高,可供選擇的職位要遠小於數字,一般如果是大牛的話會選擇模擬的~

另外前一陣子,和乙個cadence的工程師聊天,他說目前除了模擬待遇好以外,數字後端人才也十分短缺~當然和模擬一樣,數字後端也需要非常多的實踐經驗。所以總而言之,要想待遇好,就要技術牛,而且是牛到掌握了一般不能隨隨便便就會的技術,而且工作會比較辛苦;當然如果熱愛這個領域,也許就不會覺得辛苦了吧~

需要學習的核心課程:數字/模擬積體電路設計,積體電路設計生產實踐(就是流片的課),積體電路生產管理,數字整合系統設計與高層次綜合,微電子學最新進展之類的,還有概率方面的課~

ps。我還是在校學生,以上都是通過各種渠道、關係了解到的,我自己也還沒體驗過很多(體驗過模擬設計。。。難~)~所以僅供參考~

6樓:匿名使用者

看見樓上說了那麼多...我也不多說了 有沒有前途是看人怎麼樣的 人有前途學什麼都有前途

現在模擬積體電路ic設計工資很高,很缺人,為什麼很少人做?

7樓:我是不敢爸爸

因為來這個方向很難,中國很多985碩士

源在模擬ic設計方向可bai能只是剛入門,難du聽點就是很多zhi碩士畢業也是達不到做模dao擬ic水平的,而且需要很多經驗來支援,國內大環境下又沒有這麼多專案來讓學生做。真要搞這個方向,真心建議跟著輔導班走,不然根本入不了行。我以前乙個同事就是從cs轉ic設計的,現在工資高的嚇人,他當時去的是智芯融,聽他講裡面有很多海歸博士講師,讓他受益匪淺。

可惜我年齡大了,不然我也去折騰折騰了。

8樓:

個人以為,就如模擬電路比數位電路難學一樣,掌握線性與非線性模擬電路的設計難度也要比二值邏輯的數位電路要大很多,需要非常紮實的數學及電子基礎理**底,難度大能掌握的人就少這是很自然的。

從長遠的角度,以及綜合考慮,是選模擬積體電路ic設計還是數字積體電路ic設計好點?我現在處於抉擇之中!

9樓:匿名使用者

如果你都有興趣並且願意長期從事技術工作,我建議你兩種技術都鑽研,不可偏廢,對你將來是有利的。

一般來說數位電路設計進入門檻低,基本上有程式語言基礎就可以做了,但是也別以為數位電路設計就沒有經驗積累,數位電路可積累的設計經驗也很多,比如怎樣利用有限的設計資源完成更多的邏輯功能,怎樣開發和應用更先進的演算法都是值得不斷深入**的,也會成為你的寶貴經驗。

模擬電路設計也並非經驗至上可以永遠吃老本的行當,現在模擬器件的發展趨勢也是兩個,其一是數位化,其二是模組化。你積累的很多經驗可能在實際應用中並不能得到發揮。但是不得不說,模擬電路的發展確實要比數位電路慢很多很多,所以技術不容易過時。

如果你做的是特殊測量電路或者天線,那麼可積累的經驗相當深厚。

此外要特別提醒樓主的是,模擬電路和數位電路的劃分,是學校學科的劃分,在工作中,這個行業一般是按照具體做的工作內容來劃分設計師類別,你做電源就是電源工程師,做fpga就是fpga工程師,並沒有模擬電路設計師或者數位電路設計師這種說法。

10樓:風雷小草

從長遠看,搞數模混合才有錢途。需要數字也懂,模擬也懂,另外,軟體也要十分熟悉,所括arm彙編、8051彙編,以及c程式設計。

11樓:黑豹

數字技術取代(當然,不可能完全取代)模擬技術是大勢所趨,好產品正是靠「大量程式設計」來降低成本、簡化電路、提高可靠性。靠智慧型賺錢,其樂融融。軟體行業經常通宵達旦地趕任務,需要精力旺盛,是說35、40歲退休來著,也是因為賺錢多多,可以早轉行。

當你能夠獨當一面時,年齡就不是問題,總設計師等著你做呢。

12樓:匿名使用者

我感覺還是做模擬ic比較好,畢竟數字現在做的都比較多,而模擬一旦入門,就是累計經驗,這是新手都無法比擬的

IC版圖設計問題,新手求教,請高手解答

ic版圖設計。需要乙個老手帶你,另外想要學好需要掌握一些 半導體器件物理 以及半導體工藝的知識。還有多看一些版圖設計的書 比如模擬版圖藝術。還有就是要學一下版圖設計軟體的應用。比如cadence 的virtuoso工具。版圖設計入門其實並不難,做好不太容易。如果乙個熟練的老手帶你,你勤奮 有機會實踐...

積體電路設計前端和後端的設計流程(模擬數字)及流程各個步驟所用的EDA工具(及所屬公

目前的幾大eda公司,synopsys,cadence,mentor graphics,都有自己的前端和後端設計工具和環境。使用哪個環境,取決於設計者採用的設計流程。通常,前端設計採用synopsys的公司較多,後端設計更複雜,很多設計公司都是混用,各道工序採用不同的工具。前端設計流程主要是rtl級...

數字邏輯電路與系統設計,數位電路與邏輯設計應該怎麼學求好的學習方法。。。。

1.若設被減數抄是x,減數是y,低位向本位的借位b,則差函式f m1,m2,m4,m7 本位向高位的借位d m1,m2,m3,m7 將x y分別接74153的位址端b a 注意順序不能錯 1c0 1c3分別接b b b b,2c0 2c3分別接b b b b,則從74153的輸出端1y 2y分別得到...