試用8選1資料選擇器和門電路設計多功能電路,盡量帶上電路圖,晶元最好可以用74ls

2021-04-01 06:19:23 字數 2919 閱讀 2937

1樓:匿名使用者

74ls151是8選1資料選擇器,但功能表中只要求四種功能,所以,當成4選1資料選擇器用,只用前4個資料輸入端x0~x3,選擇變數就是ef。功能一是異或門,用74ls86,功能二是同或門,沒有同或閘電路,異或門加乙個非門就是同或門,功能三是與非門,用74ls00,功能 四是或非門,用74ls02。四種功能 的輸入變數是a,b。

按要求畫出的邏輯圖如下,這也是**圖,經**測試通過的。這是正確的答案,請採納。

2樓:無畏無知者

只怕是費了腦筋,不採納,是否滿意也不吱聲;

3樓:匿名使用者

異或門電路基礎上再加2非門就可以。

數字邏輯電路 20 試用8選1資料選擇器和少量的「與非門」設計乙個多功能電路,要求實現下表功能

4樓:匿名使用者

8選1資料選擇器如74151有3個選擇變數和8個可選資料輸入!

5樓:逗比還得使用者名稱

圓圈帶加號是什麼意思?

點是與的意思嗎?

用八選一資料選擇器74ls151和門電路設計乙個電路圖,

6樓:匿名使用者

設 0可被2或5整除,要求電路真值表如圖,對比74ls151真值表得出邏輯電路圖。

用8選1資料選擇器74ls151設計三輸入多數表決電路

7樓:秀秀的旺仔

如果三個人對一件事情的通過與否進行表決,則按照經驗,如果有兩個或兩個以上的人通過,則該事情最終被通過。下面我們就用數字電子技術的相關知識製作這麼乙個表決器。假設通過用高電平「1」來表示,相反,則不通過用低電平「0」來表示。

將位址端a、b、c作為輸入端,將輸入端d0-d7作為控制端,因74ls151低電平有效,故將其使能端g置低電平,輸出為s。

abc共有八種不同的輸入狀態,即:000、001、010、011、100、101、110、111。而我們希望abc為011、101、110、111時,輸出為「1」,其餘輸出為「0」。

則寫成邏輯表示式為:s=a'bc+ab'c+abc'+abc=m3d3+m5d5+m6d6+m7d7

故將d3、d5、d6、d7端接高電平,其餘控制端接低電平,這樣就構成了三輸入的表決器。

8樓:匿名使用者

有011,101,110,111四種情況,所以需要4塊151,分別接d3,d5,d6,d7的高電平,輸出端用151的y,其他的就簡單了

用74ls153擴充套件成乙個八選一的資料選擇器,要求寫出設計過程,畫出電路圖。求大神速給答案,重謝。。

9樓:匿名使用者

用8選一的q3控制雙四選一的ts非就可以了  順便畫了個圖

10樓:楊必宇

用8選一的q3控制雙四選一的ts非就可以,如圖所示:

資料選擇器是指經過選擇,把多個通道的資料傳送到唯一的公共資料通道上去,實現資料選擇功能的邏輯電路稱為資料選擇器。在多路資料傳送過程中,能夠根據需要將其中任意一路選出來的電路,叫做資料選擇器,也稱多路選擇器或多路開關。

用8選1資料選擇器ct74ls151和門電路設計電路 5

11樓:楊必宇

f=a'bc+b'c+ac'+a。

=a'bc+(a+a')b'c+a(b+b')c'+a(b+b')(c+c')。

=a'bc+ab'c+a'b'c+abc'+ab'c'+abc。

用門電路設計組合電路,可能需要用到的閘電路品種比較多,門之間的連線較多,pcb設計難度也大;若只用某一種閘電路,則可能門的數量多,且不同的傳輸路徑上門的級數相差較大,即傳輸時延較大,則出現競爭冒險的可能較大。

用典型組合邏輯積體電路進行電路設計,電路可能比較簡潔,ic的數量會比較少,連線較使用閘電路會有較大的減少。但設計難度比使用閘電路要大。

12樓:匿名使用者

用一片8選1資料選擇器74xx151可以很方便地實現4(及以下)輸入變數、單輸出變數的組合邏輯電路。

實現方法:①將該組合邏輯電路的表示式變換為最小項表示式,例如,邏輯函式

,注意:在表示式的最小項中,自變數(輸入變數)排列順序是abcd(即a是最高位msb,d是最低位lsb);如果有兩個最小項出現d不同的邏輯相鄰,則可消去d,如本式中的m14與m15。

②列出74xx151的輸出函式表示式,

其中:s2、s1、s0(在multisim中是a、b、c)分別是74xx151的位址碼的高、中、低位,d0~d7 是8個資料輸入。注意:

74xx151位址碼中的abc排列順序與①中是相反的。

③令s2=a、s1=b、s0=c;比較上面兩式,可知若再使d0=d1=d5=d'(這裡的'代表「非」號)、d2=d3=d4=d、d6=0、d7=1,則兩式相等,其中的d'由乙個反相器(非門)將d取反後得到。

13樓:匿名使用者

電路圖如圖所示,a為最高位,d為最低位;abc為資料選擇器的三個選通控制端,d作為輸入端。這樣就可以實現上述功能。

希望這能給你些幫助。

利用4選1資料選擇器(74ls153)設計乙個3人表決器電路

14樓:蒙凝絲百石

這題。。。不知道給了你答案會不會害你。數電很有趣的,能鍛鍊你的邏輯能力。

設三個人分別用a、b、c表示,f=1表示通過,f=0表示不通過。1表示同意,0表示不同意。

(假設你的要求是有兩個以上的人同意就通過)得以下真值表:ab

cf00

0000

1001

0001

1110

0010

1111

0111

11用***畫的圖,手打的。望採納。

用4選1資料選擇器實現邏輯函式,用4選1資料選擇器74LS153加必要的閘電路實現邏輯函式

把函式式抄化成上面 結構的表示式 y ab d a bc d bc b cd 如下bai圖,各項為du綠色圈標註的zhi a b cd a b c d ab c d abc 各項為紅dao色圈標註的 把a接在a0端,b接在a1端,c接到d3端,c,d接乙個或門,或門輸出端同時接到d1和d2端,d接乙...

京東plus會員試用怎麼關閉,如果選了這個,到期後會自動扣錢嗎

首先前30天是屬於免費試用的範疇,沒毛病,不花你一分錢,你還能體plus會員的權益。30天後,可以自動續費,當然,從你的截圖上看,已經取消了自動續費,也就不存在扣費的問題了。不只是京東plus會員,所有的軟體自動續費想要取消都只需要找到自動續費的選項,取消選擇就行,看你第二張圖,應該是已經取消了的,...

excel裡面,1 數字,選1出現A,選2出現B,以此類推。我用IF函式只能巢狀7層。該怎麼做呢

有兩個辦法,第乙個 是直接換用07版本的 可以巢狀64層第二是在每7個公式中間加個 詳細見公式 就可以了 試試吧 可以使用lookup實現,lookup a1,第乙個大括號裡面是數字,第二個是對應的字母,需要多少個自己加就行了。char a1 64 假設數字在a1在b1輸入 char a1 64 就...